0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

新思科技數(shù)字定制設(shè)計平臺已獲臺積公司N3制程技術(shù)認(rèn)證

新思科技 ? 來源:新思科技 ? 作者:新思科技 ? 2021-11-16 11:06 ? 次閱讀

新思科技平臺提供強化功能,以支持臺積公司N3和N4制程的新要求

新思科技Fusion設(shè)計平臺能夠提供更快的時序收斂,并確保從綜合到時序和物理簽核的全流程相關(guān)性 ,可顯著提高生產(chǎn)力

新思科技(Synopsys)近日宣布其數(shù)字定制設(shè)計平臺已獲臺積公司N3制程技術(shù)認(rèn)證,雙方將共同優(yōu)化下一代芯片的功耗、性能和面積(PPA)?;诙嗄甑拿芮泻献?,本次經(jīng)嚴(yán)格驗證的認(rèn)證是基于臺積公司最新版本的設(shè)計規(guī)則手冊(DRM)和制程設(shè)計套件(PDK)。此外,新思科技的數(shù)字和定制設(shè)計平臺還通過了臺積公司N4制程的認(rèn)證。

“多年的密切合作使得新思科技的設(shè)計平臺解決方案在臺積公司最先進(jìn)工藝上獲得了認(rèn)證。在下一代HPC、移動、5GAI等應(yīng)用領(lǐng)域,我們將共同協(xié)助客戶實現(xiàn)PPA優(yōu)化,幫助客戶快速將創(chuàng)新產(chǎn)品推向市場?!?/p>

——Suk Lee

臺積公司設(shè)計基礎(chǔ)設(shè)施

管理事業(yè)部副總經(jīng)理

該數(shù)字設(shè)計流程以新思科技Fusion設(shè)計平臺為基礎(chǔ),采用最新的先進(jìn)技術(shù)確保更快的時序收斂,并實現(xiàn)了從綜合到布局布線、再到時序及物理簽核的全流程相關(guān)性。該平臺得到強化后,將提供性能更佳的綜合和全局布局器引擎,從而優(yōu)化庫單元選擇和布局結(jié)果。為支持臺積公司的超低電壓設(shè)計收斂,新思科技對優(yōu)化引擎進(jìn)行了改進(jìn),以便使用全新的內(nèi)存優(yōu)化算法。這些新技術(shù)是兩家公司戰(zhàn)略合作的成果,將為采用臺積公司N3制程的設(shè)計帶來PPA的大幅提升。

Custom Compiler設(shè)計和版圖解決方案是新思科技Custom Design Platform的一部分,能夠為使用臺積公司先進(jìn)制程技術(shù)的開發(fā)者提供更高的生產(chǎn)力。Custom Compiler的諸多強化功能可減少為了滿足N3技術(shù)要求而投入的工作量,已獲得諸多早期采用N3制程的用戶的驗證,這其中也包括新思科技DesignWare IP團隊。新思科技PrimeSim Continuum解決方案中的PrimeSim HSPICE、PrimeSim SPICE、PrimeSimPro和PrimeSimXA仿真器,可縮短基于臺積公司N3制程的設(shè)計迭代時間,并為電路仿真和可靠性要求提供簽核。

“通過與臺積公司的持續(xù)合作,我們?yōu)椴捎门_積公司先進(jìn)的N3制程技術(shù)的設(shè)計提供了高度定制化的解決方案,讓客戶對成功設(shè)計出復(fù)雜的SoC更有信心。基于這些技術(shù)創(chuàng)新,開發(fā)者可以充分利用在PPA方面的重大提升,實現(xiàn)下一代HPC、移動、5G和AI芯片設(shè)計?!?/p>

——Shankar Krishnamoorthy

新思科技數(shù)字設(shè)計事業(yè)部總經(jīng)理

新思科技設(shè)計平臺中的以下關(guān)鍵產(chǎn)品已經(jīng)強化,可滿足制程技術(shù)要求:

數(shù)字設(shè)計解決方案

Fusion Compiler RTL-to-GDSII解決方案

Design Compiler NXT綜合解決方案

IC Compiler II布局布線解決方案

簽核

PrimeTime時序簽核解決方案

PrimePower功耗分析

StarRC寄生參數(shù)提取簽核

IC Validator物理驗證解決方案

Tweaker ECO收斂解決方案

NanoTime定制電路時序簽核

ESP-CV定制電路功能驗證

QuickCap NX寄生參數(shù)提取3D現(xiàn)場求解器

SPICE仿真和定制設(shè)計

PrimeSim HSPICE、PrimeSim SPICE和PrimeSim Pro仿真解決

方案

PrimeSim XA可靠性分析

Custom Compiler定制電路設(shè)計

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • IC
    IC
    +關(guān)注

    關(guān)注

    36

    文章

    5950

    瀏覽量

    175607
  • 新思科技
    +關(guān)注

    關(guān)注

    5

    文章

    798

    瀏覽量

    50337

原文標(biāo)題:新思科技數(shù)字和定制設(shè)計平臺獲得臺積公司N3制程認(rèn)證

文章出處:【微信號:Synopsys_CN,微信公眾號:新思科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    電2納米制程技術(shù)細(xì)節(jié)公布:性能功耗雙提升

    在近日于舊金山舉行的IEEE國際電子器件會議(IEDM)上,全球領(lǐng)先的晶圓代工企業(yè)電揭曉了其備受期待的2納米(N2)制程技術(shù)的詳細(xì)規(guī)格。
    的頭像 發(fā)表于 12-19 10:28 ?155次閱讀

    電2納米制程技術(shù)細(xì)節(jié)公布

    近日,在舊金山舉辦的IEEE國際電子器件會議(IEDM)上,全球領(lǐng)先的晶圓代工企業(yè)電揭示了其備受期待的2納米(N2)制程技術(shù)的詳盡信息。
    的頭像 發(fā)表于 12-18 10:35 ?254次閱讀

    思科技再獲公司多項OIP年度合作伙伴大獎

    半導(dǎo)體技術(shù)領(lǐng)域的發(fā)展速度十分驚人,新思科技與公司(TSMC)始終處于行業(yè)領(lǐng)先地位,不斷突破技術(shù)
    的頭像 發(fā)表于 10-31 14:28 ?253次閱讀

    3nm制程需求激增,全年營收預(yù)期上調(diào)

    電近期迎來3nm制程技術(shù)的出貨高潮,預(yù)示著其在半導(dǎo)體制造領(lǐng)域的領(lǐng)先地位進(jìn)一步鞏固。隨著蘋果iPhone 16系列新機發(fā)布,預(yù)計搭載的A1
    的頭像 發(fā)表于 09-10 16:56 ?664次閱讀

    電2nm制程近況佳,N3X、N2P以及A16節(jié)點已在規(guī)劃中

    電聯(lián)合首席運營官張曉強進(jìn)一步指出,2nm制程的研發(fā)正處于“非常順利”的狀態(tài):納米片的“轉(zhuǎn)換效果”已達(dá)預(yù)定目標(biāo)中的90%,良率亦超過80%。
    的頭像 發(fā)表于 05-24 16:38 ?834次閱讀

    N3P工藝新品投產(chǎn),性能提質(zhì)、成本減負(fù)

    N3E工藝的批量生產(chǎn)預(yù)期如期進(jìn)行,其缺陷密度與2020年量產(chǎn)的N5工藝相當(dāng)。電對N3E的良率評價頗高,目前僅有的采用
    的頭像 發(fā)表于 05-17 09:17 ?989次閱讀

    思科技物理驗證解決方案已獲公司N3P和N2工藝技術(shù)認(rèn)證

    由Synopsys.ai EDA套件賦能可投產(chǎn)的數(shù)字和模擬設(shè)計流程能夠針對臺公司N3/N3P和N
    的頭像 發(fā)表于 05-14 10:36 ?461次閱讀
    新<b class='flag-5'>思科</b>技物理驗證解決方案<b class='flag-5'>已獲</b>得<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>公司</b><b class='flag-5'>N3</b>P和<b class='flag-5'>N</b>2工藝<b class='flag-5'>技術(shù)</b><b class='flag-5'>認(rèn)證</b>

    思科技與公司深化EDA與IP合作

    思科技近日與公司宣布,在先進(jìn)工藝節(jié)點設(shè)計領(lǐng)域開展了廣泛的EDA和IP合作。雙方的合作成果已經(jīng)成功應(yīng)用于一系列人工智能、高性能計算和移動設(shè)計領(lǐng)域,取得了顯著成效。
    的頭像 發(fā)表于 05-13 11:04 ?514次閱讀

    思科技與公司深度合作,推動芯片設(shè)計創(chuàng)新

     新思科技EDA事業(yè)部戰(zhàn)略與產(chǎn)品管理副總裁Sanjay Bali表示:“新思科技在可投產(chǎn)的EDA流程和支持3Dblox標(biāo)準(zhǔn)的3DIC Compiler光子集成方面的先進(jìn)成果,結(jié)合我們廣
    的頭像 發(fā)表于 05-11 16:25 ?437次閱讀

    思科技面向公司先進(jìn)工藝加速下一代芯片創(chuàng)新

    套件賦能可投產(chǎn)的數(shù)字和模擬設(shè)計流程能夠針對臺公司N3/N3P和N2工藝,助力實現(xiàn)芯片設(shè)計成功,
    發(fā)表于 05-11 11:03 ?438次閱讀
    新<b class='flag-5'>思科</b>技面向<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>公司</b>先進(jìn)工藝加速下一代芯片創(chuàng)新

    3nm工藝下半年產(chǎn)能料將大增,2025年營收預(yù)增26.6%?

    分析師強調(diào),電的N3制程處于全球領(lǐng)先地位,盡管第一季度的3nm制程銷量同比下降了32%,僅占
    的頭像 發(fā)表于 04-30 17:22 ?1791次閱讀

    電2023年報:先進(jìn)制程與先進(jìn)封裝業(yè)務(wù)成績

    據(jù)悉,電近期發(fā)布的2023年報詳述其先進(jìn)制程與先進(jìn)封裝業(yè)務(wù)進(jìn)展,包括N2、N3、N4、
    的頭像 發(fā)表于 04-25 15:54 ?691次閱讀

    思科技與英特爾在UCIe互操作性測試進(jìn)展

    英特爾的測試芯片Pike Creek由基于Intel 3技術(shù)制造的英特爾UCIe IP小芯片組成。它與采用公司
    的頭像 發(fā)表于 04-18 14:22 ?740次閱讀

    電產(chǎn)能受益于先進(jìn)制程,索尼半導(dǎo)體將選擇熊本?

    英偉達(dá)GTC大會將在美西時間3月17日啟幕,市場認(rèn)為H200和B100可能會于大會期間提前公開以搶占市場份額。據(jù)悉,這兩款產(chǎn)品將分別使用N4和
    的頭像 發(fā)表于 03-11 09:45 ?459次閱讀

    電晶圓平均售價同比上漲22.8%!

    根據(jù)電最新的財報,2024年第四季度,電12英寸晶圓的平均售價同比上漲了22.8%,達(dá)到了6611美元。這一漲幅的主要原因在于,
    的頭像 發(fā)表于 01-25 15:35 ?354次閱讀