0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

深度解析ug1292:降低布線延遲

電子工程師 ? 來(lái)源:未知 ? 作者:李倩 ? 2018-10-30 10:47 ? 次閱讀

當(dāng)設(shè)計(jì)出現(xiàn)布線擁塞時(shí),通常會(huì)導(dǎo)致布線延遲增大,從而影響時(shí)序收斂。布線擁塞程度可通過(guò)如下兩種方式獲?。?/p>

-布線階段的log文件中會(huì)顯示擁塞程度

-對(duì)于place_design或route_design生成的dcp文件,可通過(guò)如下命令獲取

生成的擁塞報(bào)告如下圖所示。要格外關(guān)注擁塞程度(Congestion Level)大于4的區(qū)域。

對(duì)于擁塞程度大于4的情形,可采用如下流程加以改善并降低布線延遲。在如下的案例中,可以看到布線延遲占到了總延遲的94%,據(jù)此,可斷定布線延遲是導(dǎo)致時(shí)序違例的根本原因。從布線結(jié)果看,在擁塞區(qū)域出現(xiàn)了繞線。

圖片來(lái)源:page 6, ug1292

圖片來(lái)源:page 6, ug1292

降低擁塞程度可改善布線質(zhì)量。Xilinx建議采用如下方法以改善布線擁塞。

(1)當(dāng)整體資源利用率達(dá)到70%~80%時(shí)(對(duì)于多die芯片,這個(gè)數(shù)值是指每個(gè)SLR的資源利用率),需要砍掉一些模塊以降低資源利用率。尤其要避免LUT/BRAM/DSP/URAM利用率同時(shí)出現(xiàn)大于80%的情形。如果BRAM/DSP/URAM這些Block利用率無(wú)法降低,那么要確保LUT利用率低于60%。

(2)執(zhí)行place_design時(shí),嘗試將-directive設(shè)置為AltSpreadLogic*或SSI_Spread*或?qū)mplementation的策略設(shè)置為Congestion_*,如下圖所示。

(3)通過(guò)如下命令分析設(shè)計(jì)復(fù)雜度,找到設(shè)計(jì)中出現(xiàn)擁塞的模塊(Rent值大于0.65或AverageFanout大于4)。之后,對(duì)這些模塊實(shí)施模塊化綜合,其中的綜合策略設(shè)置為ALTERNATE_ROUTABILITY。

生成設(shè)計(jì)復(fù)雜度報(bào)告:

對(duì)擁塞模塊采用模塊化綜合技術(shù)

(4)降低擁塞區(qū)域MUXF*和LUT-Combining的使用率,具體方法有時(shí)可通過(guò)report_qor_suggestions獲得。但采用模塊化綜合技術(shù)是一個(gè)值得一試的方法。

(5)在布線區(qū)域內(nèi)非關(guān)鍵的高扇出網(wǎng)線上引入BUFG,可通過(guò)如下命令實(shí)現(xiàn)。

(6)從之前低擁塞的布線或布局結(jié)果中繼承DSP/BRAM/URAM的布局。這可通過(guò)如下腳本實(shí)現(xiàn)。

優(yōu)化高扇出網(wǎng)線:

(1)在RTL層面,基于設(shè)計(jì)層次復(fù)制寄存器降低扇出,或者在opt_design階段通過(guò)-hier_fanout_limit選項(xiàng)降低扇出。

(2)在phys_opt_design(布局之后)階段通過(guò)-force_replication_on_nets對(duì)關(guān)鍵的高扇出網(wǎng)線通過(guò)復(fù)制寄存器降低扇出。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 布線
    +關(guān)注

    關(guān)注

    9

    文章

    772

    瀏覽量

    84348
  • ug1292
    +關(guān)注

    關(guān)注

    0

    文章

    3

    瀏覽量

    2325

原文標(biāo)題:深度解析ug1292(6)

文章出處:【微信號(hào):Lauren_FPGA,微信公眾號(hào):FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    深度解析降低EMI的辦法

    案列為你深度解析降低EMI的辦法。EMI噪聲源和耦合路勁的基本概念圖1所示是離線反激變換器的傳導(dǎo)電磁干擾測(cè)量電路圖。測(cè)量中使用的是標(biāo)準(zhǔn)的傳導(dǎo)測(cè)量?jī)x器LISN,由電感,電容以及兩個(gè)50ohm電阻組成
    發(fā)表于 06-11 09:36

    怎么降低延遲

    你好。我是PC游戲玩家,我想調(diào)整我的英特爾i219-v網(wǎng)卡驅(qū)動(dòng)程序,因?yàn)槲铱梢垣@得更低的延遲..有一些設(shè)置我可以改變以降低延遲?以上來(lái)自于谷歌翻譯以下為原文Hi. I am a PC gamer
    發(fā)表于 10-29 14:21

    AUTOSAR架構(gòu)深度解析 精選資料推薦

    AUTOSAR架構(gòu)深度解析本文轉(zhuǎn)載于:AUTOSAR架構(gòu)深度解析目錄AUTOSAR架構(gòu)深度解析A
    發(fā)表于 07-28 07:40

    C語(yǔ)言深度解析

    C語(yǔ)言深度解析,本資料來(lái)源于網(wǎng)絡(luò),對(duì)C語(yǔ)言的學(xué)習(xí)有很大的幫助,有著較為深刻的解析,可能會(huì)對(duì)讀者有一定的幫助。
    發(fā)表于 09-28 07:00

    LM1292 pdf,LM1292 datasheet

    LM1292Video PLL System for Continuous-Sync MonitorsGeneral DescriptionThe LM1292 is a very low
    發(fā)表于 09-17 00:36 ?25次下載

    綜合布線常用術(shù)語(yǔ)解析

    綜合布線常用術(shù)語(yǔ)解析  應(yīng)用系統(tǒng)   應(yīng)采用某種方式傳輸信息的系統(tǒng),這個(gè)系統(tǒng)能在綜合布線上正常運(yùn)
    發(fā)表于 04-14 11:49 ?753次閱讀

    ug1292時(shí)序收斂快速參考手冊(cè)

    這個(gè)手冊(cè)與ug949的理念是一致的即”盡可能地把所有問(wèn)題放在設(shè)計(jì)初期解決“。寧可在設(shè)計(jì)初期花費(fèi)更多的時(shí)間,也不要等到布局布線后才開始發(fā)現(xiàn)問(wèn)題再解決問(wèn)題。因?yàn)椋谠O(shè)計(jì)后期,往往會(huì)面臨牽一發(fā)而動(dòng)全身的被動(dòng)局面。即使一個(gè)小的改動(dòng)都有可能花費(fèi)很多的時(shí)間和精力甚至造成返工。
    的頭像 發(fā)表于 10-09 10:52 ?3394次閱讀
    <b class='flag-5'>ug1292</b>時(shí)序收斂快速參考手冊(cè)

    ug1292深度解析

    初始設(shè)計(jì)檢查流程如下圖所示。對(duì)象是綜合后或opt_design階段生成的dcp。會(huì)依次執(zhí)行三個(gè)命令(圖中紅色標(biāo)記),生成三個(gè)報(bào)告:FailFast報(bào)告、時(shí)序報(bào)告和UFDM(UltraFast Design Methodology)報(bào)告。
    的頭像 發(fā)表于 10-12 11:19 ?3672次閱讀

    深度解析ug1292建立時(shí)間違例分析流程

    上述四個(gè)數(shù)值,無(wú)論是在timing report(通過(guò)report_timing_summary生成)還是design analysis report(通過(guò)report_design_analysis生成)中都有所體現(xiàn)。以timingreport為例,如下圖所示,可清晰地顯示上述四個(gè)數(shù)值。
    的頭像 發(fā)表于 10-19 10:32 ?5557次閱讀

    淺談ug1292中的降低邏輯延遲的解決方案

    我們需要關(guān)注兩類路徑。一類路徑是由純粹的CLB中的資源(FF,LUT,Carry,MUXF)構(gòu)成的路徑;另一類則是Block(DSP,BRAM,URAM,GT)之間的路徑。
    的頭像 發(fā)表于 10-27 08:43 ?7188次閱讀
    淺談<b class='flag-5'>ug1292</b>中的<b class='flag-5'>降低</b>邏輯<b class='flag-5'>延遲</b>的解決方案

    UG1292使用之初始設(shè)計(jì)檢查使用說(shuō)明

    UG1292第一頁(yè)是針對(duì)綜合后或者opt_design階段的使用說(shuō)明。vivado的基本流程有5步(其實(shí)不同策略可以更多,具體使用方法和好處以后講),分別是synth_design
    的頭像 發(fā)表于 10-30 10:25 ?3395次閱讀
    <b class='flag-5'>UG1292</b>使用之初始設(shè)計(jì)檢查使用說(shuō)明

    DC1292A-設(shè)計(jì)文件

    DC1292A-設(shè)計(jì)文件
    發(fā)表于 04-12 10:10 ?1次下載
    DC<b class='flag-5'>1292</b>A-設(shè)計(jì)文件

    DC1292A DC1292A評(píng)估板

    電子發(fā)燒友網(wǎng)為你提供ADI(ti)DC1292A相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊(cè),更有DC1292A的引腳圖、接線圖、封裝手冊(cè)、中文資料、英文資料,DC1292A真值表,DC1292A管腳等資料
    發(fā)表于 09-03 19:00

    AD1292R芯片手冊(cè)

    ADS1291、ADS1292 和 ADS1292R 是多通道同步采樣 24 位 Δ-Σ 模數(shù)轉(zhuǎn)換器 (ADC),它們具有內(nèi)置的可編程增益放大器 (PGA)、內(nèi)部基準(zhǔn)和板載振蕩器。ADS1291
    發(fā)表于 04-07 17:58 ?7次下載

    UltraFast設(shè)計(jì)方法時(shí)序收斂快捷參考指南(UG1292)

    電子發(fā)燒友網(wǎng)站提供《UltraFast設(shè)計(jì)方法時(shí)序收斂快捷參考指南(UG1292).pdf》資料免費(fèi)下載
    發(fā)表于 09-15 10:38 ?0次下載
    UltraFast設(shè)計(jì)方法時(shí)序收斂快捷參考指南(<b class='flag-5'>UG1292</b>)