0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Vivado2018版本中Modelsim的配置

CHANBAEK ? 來源:新???/span> ? 作者:陳一偉 ? 2023-11-08 14:47 ? 次閱讀

Vivado自帶的仿真工具在一些基本功能的仿真測試時是可以滿足的,但如果你的工程較為龐大,那么自帶的仿真工具將有些勉強,除了在數(shù)據(jù)輸出方面的卡頓,在仿真速度上也可能無法接受,這里可以借助第三方仿真工具進行工程仿真測試,Vivado2018各版本支持的仿真工具見下:

Vivado Design Suite 2018.3

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa 高級仿真器 (10.6c)
  • Cadence Incisive 企業(yè)仿真器 (IES) (15.20.053)
  • Cadence Xcelium 并行模擬器(18.03.005)
  • Synopsys VCS 與 VCS MX (N-2017.12-SP2)
  • Aldec Active-HDL (10.5) Aldec Riviera-PRO (2018.02)

Vivado Design Suite 2018.2

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa 高級仿真器 (10.6c)
  • Cadence Incisive 企業(yè)仿真器 (IES) (15.20.042)
  • Cadence Xcelium 并行模擬器(17.10.005)
  • Synopsys VCS 與 VCS MX (N-2017.12)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

Vivado Design Suite 2018.1

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa 高級仿真器 (10.6c)
  • Cadence Incisive 企業(yè)仿真器 (IES) (15.20.042)
  • Cadence Xcelium 并行模擬器(17.10.005)
  • Synopsys VCS 與 VCS MX (N-2017.12)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

個人習慣使用Mentor公司的ModelSim,Vivado2018只支持V10.6C以上版本,下載時需要注意,ModelSim安裝在這里不在贅述,推薦安裝SE版本,安裝完成后需要對Vivado的仿真庫編譯導入ModelSim,基本配置步驟如下:

1.打開工具菜單,選擇仿真庫編譯;

圖片

2.仿真庫生成配置可根據(jù)需求調整,基本配置如下;

圖片

3.編輯一般需要很長一段時間,編譯完成后在Tcl Console中會有一個匯總報告,里面說明了支持的仿真模型和編譯該模塊的基本信息,只需要檢查是否有Error存在,若存在需檢查ModelSim版本或者Vivado版本重新生成;

圖片

生成后需要在工具菜單下的設置仿真工具路徑和庫文件路徑;

圖片

此外需要注意,環(huán)境變量需要添加MODELSIM變量,變量文件為生成庫文件夾里的ModelSim.ini文件

圖片

4.第三方仿真工具的調用需要在設置里進行配置,打開工程文件,點擊工具菜單,選擇設置;

圖片

選擇仿真面板,里面需要配置仿真工具,Testbench和仿真庫文件,必要時需要simulation選項卡里面配置仿真時間;

圖片

仿真調用按正常仿真流程執(zhí)行即可。

圖片

圖片

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Cadence
    +關注

    關注

    65

    文章

    921

    瀏覽量

    142135
  • ModelSim
    +關注

    關注

    5

    文章

    174

    瀏覽量

    47203
  • 模擬器
    +關注

    關注

    2

    文章

    875

    瀏覽量

    43223
  • 仿真分
    +關注

    關注

    0

    文章

    3

    瀏覽量

    5254
  • Vivado
    +關注

    關注

    19

    文章

    812

    瀏覽量

    66531
收藏 人收藏

    評論

    相關推薦

    如何用Python實現(xiàn)VivadoModelSim仿真自動化?

    我們在Windows系統(tǒng)下使用Vivado的默認設置調用第三方仿真器比如ModelSim進行仿真時,一開始仿真軟件都會默認在波形界面中加載testbench頂層的信號波形
    的頭像 發(fā)表于 09-13 09:23 ?1288次閱讀
    如何用Python實現(xiàn)<b class='flag-5'>Vivado</b>和<b class='flag-5'>ModelSim</b>仿真自動化?

    Vivado 2023.2版本的新增功能

    Vivado在前一段時間更新了2023.2版本,經過一段時間的使用這個版本還是很絲滑的,用起來挺舒服。
    的頭像 發(fā)表于 01-02 09:39 ?3298次閱讀
    <b class='flag-5'>Vivado</b> 2023.2<b class='flag-5'>版本</b>的新增功能

    關于Vivadomodelsim仿真常見問題處理

    本帖最后由 六月飛魚 于 2018-10-16 19:58 編輯 1、vivado里面聯(lián)合modelsim操作方法分如下三步:a、第一步在modelsim的安裝目錄下新建文件夾并
    發(fā)表于 10-16 19:43

    2018版本labview對應的C Generator

    請問有2018版本的c generator嗎,我沒有找到,或者有沒有其他方法可以將2018版本的VI轉成c語言呢?
    發(fā)表于 11-29 09:17

    怎么將vivado12.3更新到最新的15.4版本?

    你好我有完整版的vivado 2012.3版本,我使用的是DVDalong和ZC 706 Board。我想將軟件更新到最新版本。請讓我知道這是可能的,免費的。
    發(fā)表于 04-14 06:48

    vivado的仿真器改成modelsim,仿真時modelsim的transcript沒法打印出e203實時運行的信息怎么解決?

    原來仿真使用的是vivado simulator,最近將vivado的仿真器改成modelsim,發(fā)現(xiàn)仿真的時候modelsim的transcript沒法打印出e203實時運行的信息。
    發(fā)表于 08-11 09:47

    ModelSim SE 入門

    本文以ModelSim SE 5.6版本為基礎,介紹ModelSim SE的最基本用法,高深的我也不會 。當你安裝完ModelSim SE之后,可以將你的
    發(fā)表于 07-06 15:37 ?69次下載

    基于vivado平臺和modelsim的仿真和應用測試

    很多人用zynq平臺做視頻圖像開發(fā),但是對vdma了解比較少,上手起來稍微有些困難,我針對這一現(xiàn)象,做了一個基于vivadomodelsim的仿真和應用測試工程,并寫篇文章做些介紹,希望能對大家有幫助。
    發(fā)表于 06-30 14:33 ?5692次閱讀
    基于<b class='flag-5'>vivado</b>平臺和<b class='flag-5'>modelsim</b>的仿真和應用測試

    使用Vivado 2017調用Modelsim的詳細步驟

    本次使用的Vivado版本Vivado_2017.3版本,從Xilinx官方文檔可以了解到,該版本V
    發(fā)表于 03-30 09:51 ?1.7w次閱讀
    使用<b class='flag-5'>Vivado</b> 2017調用<b class='flag-5'>Modelsim</b>的詳細步驟

    詳解VivadoModelsim關聯(lián)方法及器件庫編譯

    vivado設置modelsim(即第三方仿真工具)的安裝路徑。在vivado菜單中選擇“Tools”——》“Options.。?!?,選擇“General”選項卡,將滾動條拉倒最底
    的頭像 發(fā)表于 04-15 10:10 ?5417次閱讀
    詳解<b class='flag-5'>Vivado</b>與<b class='flag-5'>Modelsim</b>關聯(lián)方法及器件庫編譯

    Vivado調用Questa Sim或ModelSim仿真小技巧

    調用第三方仿真軟件查看波形的過程存在的一些問題。 1、添加新的觀測信號需要重新仿真 Vivado直接調用Modelsim/QuestaSim進行仿真時,波形文件里默認只會出現(xiàn)仿真最頂層
    的頭像 發(fā)表于 09-02 10:12 ?8495次閱讀
    <b class='flag-5'>Vivado</b>調用Questa Sim或<b class='flag-5'>ModelSim</b>仿真小技巧

    VivadoModelSim的聯(lián)合仿真操作

    Vivado自帶的仿真,個人覺得跑一些小模塊的仿真還是可以的,不過跑大的仿真系統(tǒng),容易無體驗感,建議用第三方工具,這邊就直接對ModelSim下手了,接下來介紹下這兩者聯(lián)合仿真的操作。
    的頭像 發(fā)表于 03-11 11:32 ?8029次閱讀

    Vivado調用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado也可以調用Modelsim進行仿真,下面將介紹如何對vivado進行
    的頭像 發(fā)表于 07-24 09:04 ?3770次閱讀
    <b class='flag-5'>Vivado</b>調用<b class='flag-5'>Modelsim</b>仿真

    vivado軟件和modelsim軟件的安裝方法

    本文詳細介紹了vivado軟件和modelsim軟件的安裝,以及vivado配置modelsim
    的頭像 發(fā)表于 08-07 15:48 ?5237次閱讀
    <b class='flag-5'>vivado</b>軟件和<b class='flag-5'>modelsim</b>軟件的安裝方法

    Vivado 2024.1版本的新特性(1)

    Vivado 2024.1已正式發(fā)布,今天我們就來看看新版本帶來了哪些新特性。
    的頭像 發(fā)表于 09-18 10:30 ?1341次閱讀
    <b class='flag-5'>Vivado</b> 2024.1<b class='flag-5'>版本</b>的新特性(1)