0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FIFO的使用介紹

FPGA設(shè)計(jì)論壇 ? 來源:FPGA設(shè)計(jì)論壇 ? 作者:FPGA設(shè)計(jì)論壇 ? 2022-08-14 10:49 ? 次閱讀

FIFO的使用非常廣泛,一般用于不同時鐘域之間的數(shù)據(jù)傳輸,或者用于不同數(shù)據(jù)寬度之間的數(shù)據(jù)匹配。在實(shí)際的工程應(yīng)用,可以根據(jù)需要自己寫FIFO。不考慮資源的情況下,也可以使用Xilinx提供的IP核來完成。

接口類型選擇Native,SOC芯片上也可以根據(jù)需要選擇AXI接口。

088ad28e-1b61-11ed-ba43-dac502259ad0.png

選擇存儲器類型:可以用塊RAM、分布式RAM,移位寄存器和內(nèi)嵌FIFO來實(shí)現(xiàn)FIFO。這里主要是block RAM和distribute RAM之間的區(qū)別。簡而言之,block RAM是FPGA中定制的ram資源,而distribute RAM則是由LUT構(gòu)成的RAM資源。由此區(qū)別表明,當(dāng)FIFO較大時應(yīng)選擇block RAM,當(dāng)FIFO較小時,選擇distribute RAM.另外一個很重要的就是block RAM支持讀寫不同寬度,而distribute不支持。在這里為了更全面的了解FIFO,選擇block RAM以擁有非對稱方向速率的特性,內(nèi)嵌FIFO(Builtin FIFO)在5以上的FPGA芯片中才存在。

時鐘:讀寫操作是否在相同的時鐘域中完成。如果是,可以選擇Common clock,否則,選擇Independent clcoks。

讀模式有兩種選擇,一般選擇標(biāo)準(zhǔn)模式,F(xiàn)irst-Word Fall-Fhrough模式為首字預(yù)現(xiàn),F(xiàn)WFT是指在不影響FIFO讀操作的情況下,提前查看下一個數(shù)據(jù)的能力。即FIFO中不為空,有可用的數(shù)據(jù)時,F(xiàn)IFO中的第一個數(shù)據(jù)自動出現(xiàn)在輸出總線DOUT上。

Synchronization Stage:穿過交叉時鐘域的同步狀態(tài)(寄存器)數(shù)量,默認(rèn)即可。

08c2a5f6-1b61-11ed-ba43-dac502259ad0.png

data port parameters處,有actual write depth和actual read depth,他們都比我們設(shè)置的要小,在實(shí)際的工程應(yīng)用中,F(xiàn)IFO深度確實(shí)要比預(yù)設(shè)的小1,即當(dāng)寫入了Write Width-1個數(shù)據(jù)之后,F(xiàn)IFO的滿信號full會拉高,這個時候如果還要寫入數(shù)據(jù),則寫入的數(shù)據(jù)丟失。同理,讀出Read Width-1個數(shù)據(jù)后,F(xiàn)IFO的空信號empty會拉高,此時讀出信號無效。如下:

08d74a4c-1b61-11ed-ba43-dac502259ad0.png

該FIFO數(shù)據(jù)深度為16,從aabb0002到aabb0011共寫入16個數(shù)據(jù),當(dāng)寫入到第15個時,F(xiàn)ULL信號拉高,數(shù)據(jù)不能被有效的寫入,從讀狀態(tài)可以看出。當(dāng)讀寫數(shù)據(jù)位寬不匹配時,寫入的位寬大于讀出的數(shù)據(jù)位寬,則先從高位開始讀;當(dāng)寫入的數(shù)據(jù)位寬小于讀出時,先寫入的數(shù)據(jù)在讀數(shù)據(jù)的高位,如下:

0911ad5e-1b61-11ed-ba43-dac502259ad0.png

關(guān)于FIFO復(fù)位,Xilinx FIFO默認(rèn)為高電平復(fù)位,在Initialization 中可以設(shè)置復(fù)位信號到來之后,full、almost full、prog full等信號的復(fù)位值為0,或者為1??梢栽O(shè)置讀寫同步復(fù)位,或者異步復(fù)位。fifo的復(fù)位需要一段時間,期間wr_rst_busy和rd_rst_busy信號為高電平,此時應(yīng)禁止讀寫FIFO,否則會造成數(shù)據(jù)丟失。

關(guān)于讀寫計(jì)數(shù),讀計(jì)數(shù)是和讀時鐘同步的,寫計(jì)數(shù)是和寫時鐘同步的。讀計(jì)數(shù)是以讀數(shù)據(jù)寬度為單位,fifo中存在的數(shù)據(jù)個數(shù);寫計(jì)數(shù)是以寫數(shù)據(jù)寬度為單位,fifo中存在的數(shù)據(jù)個數(shù),這兩個值的結(jié)果,簡單理解就是fifo內(nèi)部控制器讀寫地址的差,由于fifo讀寫時鐘可能異步,讀寫時鐘頻率不同,導(dǎo)致計(jì)算讀寫計(jì)數(shù)值時存在延遲,并不完全和讀寫操作同步。

0939b772-1b61-11ed-ba43-dac502259ad0.png

讀寫計(jì)數(shù)仿真結(jié)果如下:

09727c9c-1b61-11ed-ba43-dac502259ad0.png

關(guān)于讀寫使能,寫使能wr_en為高時,數(shù)據(jù)立即被寫入到fifo中,讀使能為高時,下一個時鐘周期,有效數(shù)據(jù)才會出現(xiàn)在數(shù)據(jù)總線dout上。

一段簡單的仿真如下:

`timescale 1ns / 1ps

module tb_fifo_16x256(

);

reg rst;

reg wr_clk;

reg rd_clk;

reg [31:0] din;

reg wr_en;

reg rd_en;

wire [15:0] dout;

wire full;

wire empty;

wire valid;

wire almost_full;

wire almost_empty;

wire [4:0] rd_data_count;

wire [3:0] wr_data_count;

wire wr_rst_busy;

wire rd_rst_busy;

always #10 wr_clk <= ~wr_clk;

always #5 rd_clk <= ~rd_clk;

initial begin

rst <= 1;

wr_clk <= 0;

rd_clk <= 1;

din <= 32'haabb0001 ;

wr_en <= 0;

rd_en <= 0;

#20;

rst <= 0;

#300;

//======================================================empty

repeat(16) @(posedge wr_clk)

begin

din <= din + 1;

wr_en <= 1;

end

repeat(1) @(posedge wr_clk) wr_en <= 0;? ?

repeat(32) @(posedge rd_clk)

begin

rd_en <= 1;? ? ??

end

repeat(1) @(posedge rd_clk) rd_en <= 0;

//=======================================================full

repeat(16) @(posedge wr_clk)

begin

din <= din + 1;

wr_en <= 1;

end

repeat(1) @(posedge wr_clk) wr_en <= 0;? ??

end

initial begin

#900;

repeat(32) @(posedge rd_clk)

begin

rd_en <= 1;? ? ??

end

repeat(1) @(posedge rd_clk) rd_en <= 0;

end

fifo_16x256 fifo_16x256_inst (

.rst(rst), // input wire rst

.wr_clk(wr_clk), // input wire wr_clk

.rd_clk(rd_clk), // input wire rd_clk

.din(din), // input wire [31 : 0] din

.wr_en(wr_en), // input wire wr_en

.rd_en(rd_en), // input wire rd_en

.dout(dout), // output wire [15 : 0] dout

.full(full), // output wire full

.almost_full(almost_full), // output wire almost_full

.empty(empty), // output wire empty

.almost_empty(almost_empty), // output wire almost_empty

.valid(valid), // output wire valid

.rd_data_count(rd_data_count), // output wire [8 : 0] rd_data_count

.wr_data_count(wr_data_count), // output wire [7 : 0] wr_data_count

.wr_rst_busy(wr_rst_busy), // output wire wr_rst_busy

.rd_rst_busy(rd_rst_busy) // output wire rd_rst_busy

);

endmodule

審核編輯:彭靜
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 數(shù)據(jù)傳輸
    +關(guān)注

    關(guān)注

    9

    文章

    1896

    瀏覽量

    64615
  • fifo
    +關(guān)注

    關(guān)注

    3

    文章

    388

    瀏覽量

    43685
  • SoC芯片
    +關(guān)注

    關(guān)注

    1

    文章

    612

    瀏覽量

    34922

原文標(biāo)題:FPGA學(xué)習(xí)-FIFO使用小結(jié)

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    【連載視頻教程(十六)】小梅哥FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之FIFO介紹與時序驗(yàn)證

    對開發(fā)套件感興趣的也可以加技術(shù)支持群472607506了解咨詢。今天是視頻第十六講,主要介紹了嵌入式塊RAM的另一個具體應(yīng)用——FIFO。FIFO的完整英文拼寫為First In First Out
    發(fā)表于 11-03 09:50

    spi通訊的發(fā)送中斷有什么意義

    新手第一次使用spi,配置的時候發(fā)現(xiàn)有個發(fā)送中斷,不明白它的用處,需要發(fā)送數(shù)據(jù)的時候直接發(fā)送不就可以了嗎,需要用到中斷嗎,而且看到例程中用了fifo介紹說它可以一次發(fā)16個字或收16個字,而不用一個一個的發(fā)或收,那fifo得發(fā)
    發(fā)表于 04-11 09:16

    spi發(fā)送中斷有什么意義?

    新手第一次使用spi,配置的時候發(fā)現(xiàn)有個發(fā)送中斷,不明白它的用處,需要發(fā)送數(shù)據(jù)的時候直接發(fā)送不就可以了嗎,需要用到中斷嗎,而且看到例程中用了fifo介紹說它可以一次發(fā)16個字或收16個字,而不用一個一個的發(fā)或收,那fifo得發(fā)
    發(fā)表于 04-11 11:55

    vivado的fifo生成步驟介紹

    fifo是FPGA中使用最為頻繁的IP核之一,可以通過軟件自動生成,也可以自主編寫。下面介紹vivado的fifo生成步驟1、打開ip核,搜索fifo2、創(chuàng)建
    發(fā)表于 01-08 17:20

    異步FIFO的設(shè)計(jì)難點(diǎn)是什么,怎么解決這些難點(diǎn)?

    異步FIFO介紹異步FIFO的設(shè)計(jì)難點(diǎn)是什么,怎么解決這些難點(diǎn)?
    發(fā)表于 04-08 06:08

    基于c語言的FIFO介紹

    fifo就不要造輪子了,用現(xiàn)成的就行了。linux內(nèi)核中有目前人類寫出的基于c語言的最強(qiáng)FIFO,請自行搜索學(xué)習(xí)《巧奪天工的kfifo》,或者我的另一篇博文《整數(shù)的環(huán)回特性》。直接把最常用的幾個函數(shù)
    發(fā)表于 08-16 08:41

    什么是fifo

    1.什么是FIFO?FIFO是英文First In First Out 的縮寫,是一種先進(jìn)先出的數(shù)
    發(fā)表于 07-22 16:00 ?0次下載

    異步FIFO結(jié)構(gòu)

    設(shè)計(jì)一個FIFO是ASIC設(shè)計(jì)者遇到的最普遍的問題之一。本文著重介紹怎樣設(shè)計(jì)FIFO——這是一個看似簡單卻很復(fù)雜的任務(wù)。一開始,要注意,FIFO通常用于時鐘域的過渡,是雙時鐘設(shè)計(jì)
    發(fā)表于 10-15 08:44 ?94次下載

    Camera Link接口的異步FIFO設(shè)計(jì)與實(shí)現(xiàn)

    介紹了異步FIFO在Camera Link接口中的應(yīng)用,將Camera Link接口中的幀有效信號FVAL和行有效信號LVAL引入到異步FIFO的設(shè)計(jì)中。分析了FPGA中設(shè)計(jì)異步FIFO
    發(fā)表于 07-28 16:08 ?32次下載

    基于FLASH的FIFO讀寫

    基于FLASH的FIFO讀寫,介紹的比較詳細(xì),值得一讀。
    發(fā)表于 04-28 10:30 ?22次下載

    以太網(wǎng)基礎(chǔ)介紹:MAC地址,FIFO, DMA

    介紹了STM32中以太網(wǎng)外設(shè)的特性:MAC地址,FIFO, DMA
    的頭像 發(fā)表于 07-03 05:04 ?7741次閱讀

    微雪電子FT245USB轉(zhuǎn)FIFO介紹

    FT245 USB轉(zhuǎn)FIFO模塊 USB mini接口 支持3種供電模式 提供USB驅(qū)動 電路原理圖 型號 FT245 USB FIFO Board (mini)
    的頭像 發(fā)表于 12-30 11:26 ?5032次閱讀
    微雪電子FT245USB轉(zhuǎn)<b class='flag-5'>FIFO</b><b class='flag-5'>介紹</b>

    FIFO使用及其各條件仿真介紹

    FIFO(First In First Out )先入先出存儲器,在FPG設(shè)計(jì)中常用于跨時鐘域的處理,FIFO可簡單分為同步FIFO和異步FIFO。
    的頭像 發(fā)表于 04-25 15:55 ?4059次閱讀
    <b class='flag-5'>FIFO</b>使用及其各條件仿真<b class='flag-5'>介紹</b>

    同步FIFO和異步FIFO的區(qū)別 同步FIFO和異步FIFO各在什么情況下應(yīng)用

    同步FIFO和異步FIFO的區(qū)別 同步FIFO和異步FIFO各在什么情況下應(yīng)用? 1. 同步FIFO和異步
    的頭像 發(fā)表于 10-18 15:23 ?1698次閱讀

    同步FIFO和異步FIFO區(qū)別介紹

    1. FIFO簡介 FIFO是一種先進(jìn)先出數(shù)據(jù)緩存器,它與普通存儲器的區(qū)別是沒有外部讀寫地址線,使用起來非常簡單,缺點(diǎn)是只能順序讀寫,而不能隨機(jī)讀寫。 2. 使用場景 數(shù)據(jù)緩沖:也就是數(shù)據(jù)寫入過快
    的頭像 發(fā)表于 06-04 14:27 ?1631次閱讀
    同步<b class='flag-5'>FIFO</b>和異步<b class='flag-5'>FIFO</b>區(qū)別<b class='flag-5'>介紹</b>