一. 簡介
? ?這是FPGA之旅設(shè)計的第九例啦?。?!本例將介紹如何使用FPGA驅(qū)動OLED屏幕,并在接下來的幾例中,配合其它模塊,進行一些有趣的綜合實驗。由于使用的OLED屏是IIC接口的,對IIC接口不是很清楚的,可以參考第五例的設(shè)計,同時使用第五例寫好的IIC模塊,驅(qū)動OLED屏。Let's do it!
二. 0.96寸OLED屏介紹
? ?這里就只介紹最常用的0.96寸屏,其它的一樣。OLED共支持8080并口、SPI和IIC三種接口,同樣也只介紹IIC接口的用法。0.96寸OLED屏幕的分辨率為128×64,內(nèi)部有一塊GRAM用來存儲顯示的數(shù)據(jù)。
?
1OLED的存儲區(qū)域
?
這塊存儲區(qū)域分為8個page,每個page下面共有128bit,如下圖所示
這就難免會有些疑問了,128×8,不應(yīng)該是128×64嘛?8個page,為什么是64行呢?數(shù)據(jù)寫入的呢?
每一個page包括8行,所以說8個page共有64行。IIC每次發(fā)送數(shù)據(jù)的時候,是發(fā)送一個byte的,也就是8bit,這8bit數(shù)據(jù)會存儲到某一列的八行中,例如圖中SEG0區(qū)域,是第一列的頭八行。這樣GRAM的存儲區(qū)域就弄懂了。
?
2
OLED的數(shù)據(jù)存儲模式
當我們向OLED的GRAM發(fā)送顯示數(shù)據(jù)的過程中,OLED內(nèi)部共有三種處理模式。
模式一: 當我們指定了一個page和某一列的時候,每寫一個數(shù)據(jù),列會自動加一,當寫到page的最后一列的時候,列數(shù),會自動跳轉(zhuǎn)到第零列,需要手動的切換page。
?
模式二:與模式一不同的時候,當寫達到page的最后一列的時候,列數(shù)跳轉(zhuǎn)到第零列的同時,page數(shù)也會加一。
?
模式三: 與前兩個模式不同,模式三,是一列一列的寫,每寫完一個數(shù)據(jù),page數(shù)加一,當加到最后一個page的時候,列數(shù)加一,page跳轉(zhuǎn)到第一個page。
?
在實際的使用中,具體使用那一種模式,可以根據(jù)自己的子模軟件或者項目來,怎么方便怎么來!
了解了上面兩個部分后,基本就可以編寫驅(qū)動程序啦,不要問為什么,(#^.^#),初始化OLED就是配置一些列命令的過程,而這些寄存器和配置的值一般都是copy現(xiàn)成的。然后了解一下關(guān)鍵的一兩個命令就可以啦。想要深入的了解OLED的功能的話,可以閱讀對應(yīng)的芯片手冊哦!在后面的例程中也會介紹部分功能強大的命令。
?
三. OLED關(guān)鍵命令介紹
? ?-
0xAE/0xAF: 對應(yīng)著開啟OLED顯示和關(guān)閉OLED顯示
-
0x20-0x22: 對應(yīng)著上面的三種OLED數(shù)據(jù)存儲模式,默認為0x22,模式一
-
0x00-0x0F: 設(shè)置列地址的低四位,默認為0x00
-
0x10-0x1F: 設(shè)置列地址的高四位,默認為0x10
-
0xB0-0xB7: 設(shè)置page,第四位表示page
暫時差不多只需要了解上面的這些寄存器。
?
四. IIC驅(qū)動OLED數(shù)據(jù)格式
? ?驅(qū)動OLED分為寫數(shù)據(jù)和寫命令(讀暫時不考慮)。寫命令就是配置的過程,寫數(shù)據(jù)就是寫入GRAM中進行顯示的過程。
IIC數(shù)據(jù)格式 ?:OLED地址 + 命令 / 數(shù)據(jù) + ?值。
OLED地址,就是IIC協(xié)議中的從機地址,我這里是0x78。
命令/數(shù)據(jù)中,0x00表示接下來的值代表命令,0x40表示接下的值表示數(shù)據(jù),存入GRMA。
值,具體的命令或者數(shù)據(jù)
也就是說每一次IIC需要傳輸24bit,3個字節(jié)的數(shù)據(jù),和第五例的IIC模塊完美對應(yīng),那事情就好辦啦!
?
五. OLED初始化
? ?直接copy某例程提供的配置參數(shù),共需要配置26個命令,第一個是上面介紹的0xAE命令,關(guān)閉OLED顯示。最后一個也是介紹的0xAF命令,開啟OLED顯示,沒有配置模式,直接使用的默認的模式一,配置完成后,可以看到OLED屏被點亮,內(nèi)容是雜亂的
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
always@(*)
begin
?case(Init_index)
? ? ? ?'d0: ? ?Init_data_reg <= {8'h78,8'h00,8'hAE}; ?//OLED地址 + 命令 ?+ ?值。**
? ?'d1: ? ?Init_data_reg <= {8'h78,8'h00,8'h00};
? ?'d2: ? ?Init_data_reg <= {8'h78,8'h00,8'h10};
? ?'d3: ? ?Init_data_reg <= {8'h78,8'h00,8'h40};
? ?'d4: ? ?Init_data_reg <= {8'h78,8'h00,8'hB0};
? ?'d5: ? ?Init_data_reg <= {8'h78,8'h00,8'h81};
? ?'d6: ? ?Init_data_reg <= {8'h78,8'h00,8'hFF};
? ?'d7: ? ?Init_data_reg <= {8'h78,8'h00,8'hA1};
? ?'d8: ? ?Init_data_reg <= {8'h78,8'h00,8'hA6};
? ?'d9: ? ?Init_data_reg <= {8'h78,8'h00,8'hA8};
? ?'d10: ? ?Init_data_reg <= {8'h78,8'h00,8'h3F};
? ?'d11: ? ?Init_data_reg <= {8'h78,8'h00,8'hC8};
? ?'d12: ? ?Init_data_reg <= {8'h78,8'h00,8'hD3};
? ?'d13: ? ?Init_data_reg <= {8'h78,8'h00,8'h00};
? ?'d14: ? ?Init_data_reg <= {8'h78,8'h00,8'hD5};
? ?'d15: ? ?Init_data_reg <= {8'h78,8'h00,8'h80};
? ?'d16: ? ?Init_data_reg <= {8'h78,8'h00,8'hD8};
? ?'d17: ? ?Init_data_reg <= {8'h78,8'h00,8'h05};
? ?'d18: ? ?Init_data_reg <= {8'h78,8'h00,8'hD9};
? ?'d19: ? ?Init_data_reg <= {8'h78,8'h00,8'hF1};
? ?'d20: ? ?Init_data_reg <= {8'h78,8'h00,8'hDA};
? ?'d21: ? ?Init_data_reg <= {8'h78,8'h00,8'h12};
? ?'d22: ? ?Init_data_reg <= {8'h78,8'h00,8'hDB};
? ?'d23: ? ?Init_data_reg <= {8'h78,8'h00,8'h30};
? ?'d24: ? ?Init_data_reg <= {8'h78,8'h00,8'h8D};
? ?'d25: ? ?Init_data_reg <= {8'h78,8'h00,8'h14};
? ?'d26: ? ?Init_data_reg <= {8'h78,8'h00,8'hAF};
? ?default:
? ? ?Init_data_reg <= {8'h78,8'h00,8'hAE};
? ?endcase
end
?
六. 向GRAM中寫入數(shù)據(jù)
? ?初始化后,就可以顯示內(nèi)容啦,僅僅只需要配置page和起始列三個命令,然后寫入數(shù)據(jù)即可,配置頁和列地址,只有在模式一有效,也就是上電默認的模式。
這樣就可以顯示數(shù)據(jù)啦,親測可用哦!
- ?
- ?
- ?
- ?
'd0:show_data_reg <= {8'h78,8'h00,8'hb0 + show_pag}; ? ? ? ?//配置頁
'd1:show_data_reg <= {8'h78,8'h00,8'h00 +start_x[3:0]}; ? ? //配置列的低四位
'd2:show_data_reg <= {8'h78,8'h00,8'h10 + start_x[6:4]}; ? ?//配置列的高四位,128列只需要配置高三位即可。
'd3:show_data_reg <= {8'h78,8'h40, data}; ? ? ? ? ? ?//向配置的地址中,寫入顯示的數(shù)據(jù)
其實驅(qū)動OLED也沒有那么復(fù)雜嘛。
?
七. 上板驗證
? ?先來編寫個簡單的模塊,用來初始化OLED。下載程序后,我的OLED是亮起來了,你的呢!
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
- ?
//OLED頂層模塊
module OLED_Top(
?input ? ? ?sys_clk,
?input ? ? ?rst_n,
?//OLED IIC
?output ? ?OLED_SCL,
?inout ? ? ?OLED_SDA
);
localparam ?OLED_INIT = 'd0; ? ? ?//初始化
localparam ?OLED_IDLE = 'd1; ? ? ?//空閑
reg[4:0] ?state , next_state;
wire ? ? ?init_finish;
wire[23:0] ?Init_data;
wire ? ? ? init_req;
wire ? ? ?IICWriteDone;
assign init_req = (state == OLED_INIT) ? 1'b1 : 1'b0;
always@(posedge sys_clk or negedge rst_n)
begin
?if(rst_n == 1'b0)
? ?state <= OLED_INIT;
?else
? ?state <= next_state;
end
always@(*)
begin
?case(state)
?OLED_INIT:
? ?if(init_finish == 1'b1)
? ? ?next_state <= OLED_IDLE;
? ?else
? ? ?next_state <= OLED_INIT;
?OLED_IDLE:
? ?next_state <= OLED_IDLE;
?default: next_state <= OLED_INIT;
?endcase
end
OLED_Init OLED_Init(
?
?.sys_clk ? ? ? ?(sys_clk),
?.rst_n ? ? ? ?(rst_n),
?
?.init_req ? ? ?(init_req), ? ? ? ?//初始化請求
?.write_done ? ? ?(IICWriteDone), ? ? ?//一組初始化數(shù)據(jù)完成信號
?
?.init_finish ? ?(init_finish), ? ? ?//初始化完成輸出
?.Init_data ? ? ?(Init_data)//初始化的數(shù)據(jù)
);
IIC_Driver IIC_DriverHP_OLED(
? .sys_clk ? ? ? ?(sys_clk), ? ? ? ? ? /*系統(tǒng)時鐘*/
? .rst_n ? ? ? ?(rst_n), ? ? ? ? ? ? /*系統(tǒng)復(fù)位*/
? ?.IICSCL ? ? ? ?(OLED_SCL), ? ? ? ? ? ?/*IIC 時鐘輸出*/
? ?.IICSDA ? ? ? ?(OLED_SDA), ? ? ? ? ? ? /*IIC 數(shù)據(jù)線*/
? ?.IICSlave ? ? ?({Init_data[15:8],Init_data[23:16]}), ? ? ? ? ? /*從機 8bit的寄存器地址 + 8bit的從機地址*/
? ?.IICWriteReq ? ?(init_req), ? ? ? /*IIC寫寄存器請求*/
? ?.IICWriteDone ? ?(IICWriteDone), ? ? ?/*IIC寫寄存器完成*/
? ?.IICWriteData ? ?(Init_data[7:0]), ? ? ? /*IIC發(fā)送數(shù)據(jù) ?8bit的數(shù)據(jù)*/
? ?.IICReadReq ? ? ?(1'b0), ? ? ? ?/*IIC讀寄存器請求*/
? ?.IICReadDone ? ? ?(), ? ? ? /*IIC讀寄存器完成*/
? ?.IICReadData ? ? ? ?() ? ?/*IIC讀取數(shù)據(jù)*/
);
endmodule
FPGA驅(qū)動OLED就結(jié)束啦!
?
評論
查看更多