0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

Synopsys 設計平臺獲得TSMC最新版且最先進的5nm工藝

電子工程師 ? 來源:網(wǎng)絡整理 ? 作者:工程師d ? 2018-06-01 09:35 ? 次閱讀

Synopsys近日宣布, Synopsys 設計平臺獲得TSMC最新版且最先進的5nm工藝技術認證,可用于客戶先期設計。通過與TSMC的早期密切協(xié)作,IC CompilerII 的布局及布線解決方案采用下一代布局和合法化技術,最大限度地提高可布線性和總體設計利用率。借助重要的設計技術協(xié)同優(yōu)化工作,通過使用PrimeTime Signoff和StarRC提取技術實現(xiàn)ECO閉合,IC Compiler II 實現(xiàn)了對高度緊湊的單元庫的支持。對于TSMC 5nm極紫外光刻(EUV)技術來說,通過部署非缺省規(guī)則處理和布線層優(yōu)化的通用技術,最大限度地提高了寄生優(yōu)化的新機會,從而創(chuàng)建出高度收斂的RTL-to-GDSII實現(xiàn)方案。

PrimeTime時序分析和Signoff認證解決方案中的先進技術,已擴展到整個數(shù)字實現(xiàn)平臺,以實現(xiàn)面向TSMC 5nm工藝節(jié)點的快速增長市場的差異化設計。PrimeTime中的參數(shù)化片上偏差 (POCV) 分析得到了加強,可精確獲取由于工藝縮放和低電壓運行而導致的非線性變化,而這些手段過去常用于實現(xiàn)上述目標應用的能源效率。


TSMC 5nm認證還包括IC Validator物理驗證Signoff,支持DRC、LVS和金屬填充。TSMC發(fā)布設計規(guī)則的同時也發(fā)布運行集。TSMC和 Synopsys 之間的深度技術合作可實現(xiàn)先進的工藝特性,如新的多網(wǎng)格填充優(yōu)化和LVS雙層次抽取。

為了加速可靠的模擬定制和數(shù)?;旌?a target="_blank">信號設計, HSPICE仿真器以及 CustomSim和 FineSim FastSPICE 仿真器也都進行了優(yōu)化,可支持TSMC 5nm FinFET工藝。該解決方案結合CustomSim先進的IR/EM 可靠性分析能力,加快了AMS驗證,以支持可靠的AMS設計。

TSMC設計基礎架構營銷事業(yè)部資深處長Suk Lee表示: “我們與Synopsys在5nm工藝的合作可以為客戶在設計過程中帶來更高性能和更低功耗。為幫助客戶在5nm工藝技術的支持下實現(xiàn)目標PPA,TSMC和Synopsys一直在廣泛的設計風格上展開合作,以推動并讓設計性能實現(xiàn)最大化。”

Synopsys設計事業(yè)群營銷和業(yè)務開發(fā)副總裁Michael Jackson表示:“考慮到5nm工藝技術在規(guī)則和進步方面的復雜性,我們必須進一步提早開始與TSMC的合作周期。此外,我們還必須提早開始與早期5nm技術采用者的接觸。新工藝節(jié)點正在以前所未有的速度引入,我們與TSMC的合作確保了企業(yè)設計人員能夠在新節(jié)點上滿懷信心地設計,同時最大限度地提高他們的投資回報?!?br />
TSMC可提供Synopsys Design Platform技術文件、庫和寄生參數(shù),以便在5nm技術工藝中進行先期設計。獲得TSMC 5nm FinFET工藝認證的 Synopsys Design Platform的主要產(chǎn)品和特點包括:

? IC Compiler II 布局和布線: 全自動、全著色布線及抽取支持,下一代布局和合法化技術以減少單元占板面積縮小,實現(xiàn)高設計利用率的高級合法化和引腳訪問建模,以及實現(xiàn)通孔柱技術的流量部署,最大限度提高性能和器件產(chǎn)量;
? PrimeTime Signoff時間:低電壓的高級建模;
? StarRC Signoff提取:FinFET 器件擴展的高級建模;
? IC Validator物理驗證Signoff: 同時開發(fā)DRC、LVS和填充運行集,TSMC發(fā)布設計規(guī)則的同時也發(fā)布DRC 運行集;
? HSPICE,CustomSim和FineSim仿真解決方案: 采用Monte Carlo功能支持的FinFET 器件建模;提供精確電路仿真結果,可實現(xiàn)模擬、邏輯、高頻和SRAM設計;
? Custom Compiler自定義設計:支持TSMC 5nm新版圖設計規(guī)則;
? NanoTime自定義時序分析:基于高級轉換的POCV變量分析和增強信號完整性分析,優(yōu)化嵌入式SRAM和自定義宏的入侵處理;
? ESP 自定義功能驗證: 用于 SRAM、宏和庫單元設計的晶體管級形式化等價性驗證;
? CustomSim可靠性分析:用于高級 EM規(guī)則支持的精確動態(tài)晶體管級 IR/EM 分析。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 臺積電
    +關注

    關注

    44

    文章

    5642

    瀏覽量

    166564
  • 晶體管
    +關注

    關注

    77

    文章

    9698

    瀏覽量

    138259
收藏 人收藏

    評論

    相關推薦

    臺積電2025年起調(diào)整工藝定價策略

    近日,據(jù)臺灣媒體報道,隨著AI領域對先進制程與封裝產(chǎn)能的需求日益旺盛,臺積電計劃從2025年1月起,針對其3nm、5nm以及先進的CoWoS封裝工藝
    的頭像 發(fā)表于 12-31 14:40 ?85次閱讀

    臺積電產(chǎn)能爆棚:3nm5nm工藝供不應求

    臺積電近期成為了高性能芯片代工領域的明星企業(yè),其產(chǎn)能被各大科技巨頭瘋搶。據(jù)最新消息,臺積電的3nm5nm工藝產(chǎn)能利用率均達到了極高水平,其中3nm將達到100%,而
    的頭像 發(fā)表于 11-14 14:20 ?365次閱讀

    AI芯片驅動臺積電Q3財報亮眼!3nm5nm營收飆漲,毛利率高達57.8%

    10月17日,臺積電召開第三季度法說會,受惠 AI 需求持續(xù)強勁下,臺積電Q3營收達到235億美元,同比增長36%,主要驅動力是3nm5nm需求強勁;Q3毛利率高達57.8%,同比增長3.5%。
    的頭像 發(fā)表于 10-18 10:36 ?2886次閱讀
    AI芯片驅動臺積電Q3財報亮眼!3<b class='flag-5'>nm</b>和<b class='flag-5'>5nm</b>營收飆漲,毛利率高達57.8%

    臺積電3nm/5nm工藝前三季度營收破萬億新臺幣

    據(jù)臺媒DigiTimes最新報告,臺積電在2024年前三季度的業(yè)績表現(xiàn)強勁,僅憑其先進的3nm5nm制程技術,便實現(xiàn)了營收突破1萬億新臺幣(折合人民幣約2237億元)的壯舉,這一成績遠超行業(yè)此前的預期。
    的頭像 發(fā)表于 08-28 15:55 ?468次閱讀

    消息稱臺積電3nm/5nm將漲價,終端產(chǎn)品或受影響

    據(jù)業(yè)內(nèi)手機晶片領域的資深人士透露,臺積電計劃在明年1月1日起對旗下的先進工藝制程進行價格調(diào)整,特別是針對3nm5nm工藝制程,而其他
    的頭像 發(fā)表于 07-04 09:22 ?701次閱讀

    臺積電升級4nm N4C工藝,優(yōu)化能效與降低成本

    在近日舉辦的 2024 年北美技術研討會上,業(yè)務發(fā)展副總裁張凱文發(fā)表講話稱:“盡管我們的 5nm 和 4nm 工藝尚未完全成熟,但從 N5 到 N4 的光學微縮密度已提升 4%,
    的頭像 發(fā)表于 04-26 14:35 ?1222次閱讀

    安裝最新版的IAR for stm8無法燒寫程序怎么解決?

    我有兩臺電腦分別安裝win7和win10,并都安裝了最新版的IAR for stm8(V3.10)。win10系統(tǒng)那臺沒有任何問題,win7那臺無法燒寫程序一直提示“Failed
    發(fā)表于 04-22 07:49

    萊迪思全新版本Radiant設計軟件進一步擴展了功能安全和可靠性

    萊迪思Radiant集成了最新版Synopsys Synplify和三重模塊化冗余(TMR),可創(chuàng)建先進的設計自動化流程解決方案
    的頭像 發(fā)表于 04-03 15:50 ?620次閱讀

    TSMCSynopsys將在生產(chǎn)中使用NVIDIA計算光刻平臺

    NVIDIA 于今日宣布,為加快下一代先進半導體芯片的制造速度并克服物理限制,TSMCSynopsys 將在生產(chǎn)中使用 NVIDIA 計算光刻平臺
    的頭像 發(fā)表于 03-20 09:52 ?438次閱讀

    臺積電擴增3nm產(chǎn)能,部分5nm產(chǎn)能轉向該節(jié)點

    目前,蘋果、高通、聯(lián)發(fā)科等世界知名廠商已與臺積電能達成緊密合作,預示臺積電將繼續(xù)增加 5nm產(chǎn)能至該節(jié)點以滿足客戶需求,這標志著其在3nm制程領域已經(jīng)超越競爭對手三星及英特爾。
    的頭像 發(fā)表于 03-19 14:09 ?662次閱讀

    Nvidia芯片工藝先進封裝演進洞察

    根據(jù)IRDS的樂觀預測,未來5年,邏輯器件的制造工藝仍將快速演進,2025年會初步實現(xiàn)Logic器件的3D集成。TSMC和Samsung將在2025年左右開始量產(chǎn)基于GAA (MBCFET)的2
    發(fā)表于 03-15 09:16 ?1359次閱讀
    Nvidia芯片<b class='flag-5'>工藝</b><b class='flag-5'>先進</b>封裝演進洞察

    Marvell將與臺積電合作2nm 共創(chuàng)生產(chǎn)平臺新紀元

    Marvell與臺積電的合作歷史悠久成果豐碩,雙方此前在5nm和3nm工藝領域的成功合作已經(jīng)奠定了業(yè)界領先地位。
    的頭像 發(fā)表于 03-11 14:51 ?769次閱讀

    蘋果將成為首個采用其最新2nm工藝的客戶

    2nm工藝是臺積電采用的革新性GAA(Gate-All-Around)技術,在相同功耗下相比當前最先進的N3E工藝,速度提升10%至15%,或在相同速度下功耗降低25%至30%。這一突
    的頭像 發(fā)表于 01-26 15:51 ?632次閱讀

    美滿電子推出5nm、3nm、2nm技術支持的數(shù)據(jù)基礎設施新品

    該公司的首席開發(fā)官Sandeep Bharathi透露,其實施2nm相關的投資計劃已啟動。雖無法公布準確的工藝和技術細節(jié),但已明確表示,2至5nm制程的項目投入正在進行。公司專家,尤其是來自印度的專業(yè)人才,涵蓋了從數(shù)字設計到電路
    的頭像 發(fā)表于 01-24 10:24 ?652次閱讀

    臺積電第一家日本工廠即將開張:預生產(chǎn)28nm工藝芯片

    這座晶圓廠于2022年4月開始新建,大樓主結構已完工,辦公室部分區(qū)域也在今年8月啟用。將生產(chǎn)N28 28nm工藝芯片,這是日本目前最先進的半導體
    的頭像 發(fā)表于 01-03 15:53 ?1111次閱讀