0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

UVM驗(yàn)證平臺(tái)頂層有什么作用

jf_78858299 ? 來(lái)源:芯片驗(yàn)證工程師 ? 作者:驗(yàn)證哥布林 ? 2023-03-21 11:33 ? 次閱讀

因?yàn)镈UT是一個(gè)靜態(tài)的內(nèi)容,所以testbench理應(yīng)也是靜態(tài)的,其作為uvm驗(yàn)證環(huán)境和DUT的全局根結(jié)點(diǎn)。

module tb_top;
import uvm_pkg::*;


bit clk;
always #10 clk <= ~clk;


dut_if dut_if1 (clk);
dut_wrapper dut_wr0 (._if (dut_if1));


initial begin
uvm_config_db #(virtual dut_if)::set (null,"uvm_test_top","dut_if",dut_if1);
run_test ("base_test");
end


endmodule

上面是一個(gè)簡(jiǎn)單的驗(yàn)證平臺(tái)的tb_top示例.其中:

  • tb_top 是一個(gè)靜態(tài)的module,驗(yàn)證環(huán)境中所有的信息都可以從這里索引到。此時(shí)需要 import uvm_pkg:: * 才能識(shí)別一些uvm庫(kù)的內(nèi)容。
  • 在tb_top需要生成驗(yàn)證環(huán)境、DUT和接口都需要的時(shí)鐘和復(fù)位。因?yàn)轵?yàn)證環(huán)境是動(dòng)態(tài)的,無(wú)法在其中例化物理接口,所以需要采用uvm_config_db將物理interface的指針set給驗(yàn)證環(huán)境中的virtual interface。
  • 通過(guò)ru
    n_test(“
    base_test
    ”) 啟動(dòng)
    一個(gè)test_case。

Clock generation

一般的數(shù)字設(shè)計(jì)都會(huì)包含一個(gè)或者多個(gè)時(shí)鐘,同時(shí)設(shè)計(jì)可能會(huì)因?yàn)楣に嚨葐?wèn)題工作在不同的頻率下,所以時(shí)鐘生成最好是參數(shù)化的。

Reset Generation

和時(shí)鐘類似,復(fù)位也需要在testbench頂層實(shí)現(xiàn)。在許多數(shù)字設(shè)計(jì)中,復(fù)位分為 硬件復(fù)位和軟件復(fù)位 ,它們的復(fù)位方式不一樣,前者通過(guò)寄存器接口實(shí)現(xiàn),后者直接通過(guò)硬件復(fù)位接口實(shí)現(xiàn)。

和DUT interface一樣,時(shí)鐘和復(fù)位在驗(yàn)證環(huán)境和DUT中都會(huì)用到,對(duì)應(yīng)的賦值可以在tb_top中實(shí)現(xiàn),也可以在驗(yàn)證環(huán)境中實(shí)現(xiàn)。

另外很多驗(yàn)證環(huán)境都需要抽取DUT中的信號(hào)可能是force,也可能是采樣DUT內(nèi)部信號(hào)。此時(shí)需要在testbench tb_top中將DUT信號(hào)賦值過(guò)去。

interface gen_if;
logic  signals;
endinterface


module tb_top;
gen_if u_if0 ();
des u_des ( ... );
// Assign an internal net to a generic in
assign u_if0.signals = u_des.u_xyz.status;
endmodule
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 時(shí)鐘
    +關(guān)注

    關(guān)注

    11

    文章

    1741

    瀏覽量

    131633
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    182

    瀏覽量

    19197
  • DUT
    DUT
    +關(guān)注

    關(guān)注

    0

    文章

    189

    瀏覽量

    12437
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    IC驗(yàn)證"UVM驗(yàn)證平臺(tái)"組成(三)

    驗(yàn)證用于找出DUT中的bug,這個(gè)過(guò)程通常是把DUT放入一個(gè)驗(yàn)證平臺(tái)中來(lái)實(shí)現(xiàn)的。一個(gè)驗(yàn)證平臺(tái)要實(shí)現(xiàn)如下基本功能:
    發(fā)表于 12-02 15:21

    IC驗(yàn)證"一個(gè)簡(jiǎn)單的UVM驗(yàn)證平臺(tái)"是如何搭建的(六)

    main_phase的聲明中,一個(gè)uvm_phase類型的參數(shù)phase,在真正的驗(yàn)證平臺(tái) 中,這個(gè)參數(shù)是不需要用戶理會(huì)的。本節(jié)的驗(yàn)證
    發(fā)表于 12-04 15:48

    IC驗(yàn)證"UVM驗(yàn)證平臺(tái)加入factory機(jī)制"(六)

      加入factory機(jī)制 上一節(jié)《IC驗(yàn)證"一個(gè)簡(jiǎn)單的UVM驗(yàn)證平臺(tái)"是如何搭建的(五)》給出了一個(gè)只有driver、使用UVM搭建的
    發(fā)表于 12-08 12:07

    IC驗(yàn)證UVM驗(yàn)證平臺(tái)加入objection機(jī)制和virtual interface機(jī)制“(七)

    在上一節(jié)中,**《IC驗(yàn)證"UVM驗(yàn)證平臺(tái)加入factory機(jī)制"(六)》**雖然輸出了“main_phase is called”,但是“data is drived”并沒(méi)有
    發(fā)表于 12-09 18:28

    基于C的測(cè)試和驗(yàn)證套件集成到常規(guī)UVM測(cè)試平臺(tái)的方法

    Systemverilog [1]和 UVM [2]為驗(yàn)證團(tuán)隊(duì)提供結(jié)構(gòu)和規(guī)則。它使得在許多測(cè)試中能獲得一致的結(jié)果,并可以在團(tuán)隊(duì)之間共享驗(yàn)證。許多驗(yàn)證團(tuán)隊(duì)都在使用由C代碼編寫的
    發(fā)表于 12-11 07:59

    基于C的測(cè)試和驗(yàn)證套件集成到常規(guī)UVM測(cè)試平臺(tái)的方法

    Systemverilog [1]和 UVM [2]為驗(yàn)證團(tuán)隊(duì)提供結(jié)構(gòu)和規(guī)則。它使得在許多測(cè)試中能獲得一致的結(jié)果,并可以在團(tuán)隊(duì)之間共享驗(yàn)證。許多驗(yàn)證團(tuán)隊(duì)都在使用由C代碼編寫的
    發(fā)表于 12-15 07:38

    數(shù)字IC驗(yàn)證之“什么是UVM”“UVM的特點(diǎn)”“UVM提供哪些資源”(2)連載中...

    原文鏈接:https://zhuanlan.zhihu.com/p/345775995大家好,我是一哥,上章內(nèi)容主要講述兩個(gè)內(nèi)容,芯片驗(yàn)證以及驗(yàn)證計(jì)劃。那本章我們主要講述的內(nèi)容介紹什么是uv
    發(fā)表于 01-21 16:00

    數(shù)字IC驗(yàn)證之“典型的UVM平臺(tái)結(jié)構(gòu)”(3)連載中...

      大家好,我是一哥,上章內(nèi)容我們介紹什么是uvm?uvm的特點(diǎn)以及uvm為用戶提供了哪些資源?  本章內(nèi)容我們來(lái)看一看一個(gè)典型的uvm驗(yàn)證
    發(fā)表于 01-22 15:32

    數(shù)字IC驗(yàn)證之“構(gòu)成uvm測(cè)試平臺(tái)的主要組件”(4)連載中...

      大家好,我是一哥,上章一個(gè)典型的uvm驗(yàn)證平臺(tái)應(yīng)該是什么樣子的?從本章開始就正式進(jìn)入uvm知識(shí)的學(xué)習(xí)。先一步一步搭建一個(gè)簡(jiǎn)單的可運(yùn)行的測(cè)試平臺(tái)
    發(fā)表于 01-22 15:33

    數(shù)字IC驗(yàn)證之“搭建一個(gè)可以運(yùn)行的uvm測(cè)試平臺(tái)”(5)連載中...

    事物的控制,在智能代碼中前后有兩個(gè)if語(yǔ)句,這里先不用管,它的功能是控制驗(yàn)證平臺(tái)何時(shí)結(jié)束?! ≡趤?lái)看看repeat語(yǔ)句當(dāng)中的內(nèi)容,repeat的語(yǔ)句中使用了uvm do這個(gè)uvm內(nèi)建的
    發(fā)表于 01-26 10:05

    什么是uvm?uvm的特點(diǎn)哪些呢

    大家好,我是一哥,上章內(nèi)容我們介紹什么是uvm?uvm的特點(diǎn)以及uvm為用戶提供了哪些資源?本章內(nèi)容我們來(lái)看一看一個(gè)典型的uvm驗(yàn)證
    發(fā)表于 02-14 06:46

    基于UVM的CPU卡芯片驗(yàn)證平臺(tái)

    基于UVM的CPU卡芯片驗(yàn)證平臺(tái)_錢一文
    發(fā)表于 01-07 19:00 ?4次下載

    基于UVM驗(yàn)證平臺(tái)設(shè)計(jì)研究

    基于UVM驗(yàn)證平臺(tái)設(shè)計(jì)研究_王國(guó)軍
    發(fā)表于 01-07 19:00 ?4次下載

    UVM驗(yàn)證平臺(tái)執(zhí)行硬件加速

    。 本文所聚焦的技術(shù)手段是讓一個(gè)已有的UVM驗(yàn)證平臺(tái)通過(guò)改變需求去執(zhí)行硬件加速。如果這些點(diǎn)在UVM環(huán)境開發(fā)過(guò)程中被考慮到,那么之后將環(huán)境遷移到硬件加速器作為一個(gè)性能選項(xiàng)將是一件較容易的
    發(fā)表于 09-15 17:08 ?14次下載
    <b class='flag-5'>UVM</b><b class='flag-5'>驗(yàn)證</b><b class='flag-5'>平臺(tái)</b>執(zhí)行硬件加速

    ASIC芯片設(shè)計(jì)之UVM驗(yàn)證

    百度百科對(duì)UVM的釋義如下:通用驗(yàn)證方法學(xué)(Universal Verification Methodology, UVM)是一個(gè)以SystemVerilog類庫(kù)為主體的驗(yàn)證
    發(fā)表于 11-30 12:47 ?1544次閱讀