0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

用FPGA解一道初中數(shù)學(xué)題

Q4MP_gh_c472c21 ? 來源:電子電路開發(fā)學(xué)習(xí) ? 作者:wcc149 ? 2022-05-13 14:41 ? 次閱讀

前幾天和同事聊天,他說他上初中的兒子做出了一道很難的數(shù)學(xué)題,想考考我們這些大學(xué)生看能不能做得出來?

題目很簡單:

b04b8f86-d273-11ec-bce3-dac502259ad0.jpg

數(shù)學(xué)題目

大家先嘗試做一下?我沒想出怎么算的,只是用排除法確定了a和b的范圍,然后再逐個嘗試。

1.對4361進(jìn)行開方計(jì)算,得到結(jié)果最大為66,則a,b的值均小于等于66。

2.對4361/2進(jìn)行開方計(jì)算,則得到結(jié)果為46,則a,b兩者,一個是1-46,一個是46-66之間的數(shù)。

3.由平方和4361末尾為1,再根據(jù)整數(shù)平方和的幾種可能,計(jì)算出僅有0+1和5+6這兩種可能,而且平方之后的個位數(shù)為0/1/5/6,這樣就進(jìn)一步縮小了范圍,通過多次計(jì)算嘗試可以得出結(jié)果。

不過我懶得算了,就簡單寫了個C語言程序,計(jì)算出了結(jié)果:

#include
#include
#include

intmain(void)
{
intnum;
inta,b,n;
intresult;
intsqr;

printf("pleaseenteranumber:");//4361
scanf("%d",&num);
printf("inputnum:%d
",num);

sqr=sqrt(num);
for(a=1;a<=?sqr;?a++)????????//可以設(shè)置1-46
{
for(b=1;b<=?sqr;?b++)????//可以設(shè)置46-66
{
result=pow(a,2)+pow(b,2);
if(result==num)
{
printf("a=%2d,b=%2d,a+b=%d
",a,b,a+b);
n++;
}
}
}
if(n==0)
printf("Thereisnoanswer!
");

return0;
}

其實(shí)可以設(shè)置一個數(shù)的循環(huán)范圍是:1-46,一個數(shù)的循環(huán)范圍是46-66,這樣會減少循環(huán)次數(shù)。

運(yùn)行結(jié)果:

b06ff7ea-d273-11ec-bce3-dac502259ad0.jpg運(yùn)行結(jié)果

而且這種方式還適用于解的個數(shù)不唯一的情況,比如7605:

b0e66cfe-d273-11ec-bce3-dac502259ad0.jpg運(yùn)行結(jié)果

作為一個野生FPGA開發(fā)者,我在想能不能用FPGA的編程思想來實(shí)現(xiàn)呢?也就是如何用Verilog來實(shí)現(xiàn)兩個循環(huán)的嵌套呢?抄起鍵盤就是干!

verilog源文件fpga_math.v:

modulefpga_math(
//inputs
inputclk,
inputrst_n,

//outputs
outputreg[13:0]a,b,
outputreg[14:0]result,
outputok
);

parameterSUM=4361;
parameterSQR=67;//sqrt(SUM);

reg[13:0]tmp_a;
reg[13:0]tmp_b;
regflag;

assignok=(tmp_a*tmp_a+tmp_b*tmp_b==SUM);

always@(posedgeclk)
begin
if(!rst_n)
tmp_b<=0;
elseif(tmp_b==SQR)
tmp_b<=?0;
elseif(tmp_a!=SQR)
tmp_b<=?tmp_b?+?1;
end

always@(posedgeclk)
begin
if(!rst_n)
flag<=0;
elseif(tmp_b==SQR)
flag<=?1;
else
flag<=?0;
end

always@(posedgeclk)
begin
if(!rst_n)
tmp_a<=0;
elseif((tmp_a!=SQR)&flag)
tmp_a<=?tmp_a?+?1;
end

always@(posedgeclk)
begin
if(!rst_n)
begin
a<=0;
b<=?0;
result<=?0;
end
elseif(ok)
begin
a<=tmp_a;
b<=?tmp_b;
????????result?=?tmp_a?+?tmp_b;
????end
end

endmodule

為了驗(yàn)證這個模塊的正確性,我們需要對這個模塊進(jìn)行仿真,即給一個激勵輸入信號,看輸出是否正確。

新建testbench文件fpga_math_tb.v:

`timescale1ns/100ps

modulefpga_math_tb;

parameterSUM=4361;
parameterSQR=67;//sqrt(4361)

parameterSYSCLK_PERIOD=10;//100MHZ

wire[13:0]a,b;
wire[14:0]result;

regSYSCLK;
regNSYSRESET;

initial
begin
SYSCLK=1'b0;
NSYSRESET=1'b0;

#(SYSCLK_PERIOD*10)
NSYSRESET=1'b1;
#(SYSCLK_PERIOD*(SQR*SQR+500))
$stop;
end

/*generateclock*/
always@(SYSCLK)
#(SYSCLK_PERIOD/2.0)SYSCLK<=?!SYSCLK;???????

/*instancemodule*/
fpga_math#(
.SUM(SUM),
.SQR(SQR)
)fpga_math_0(
//inputs
.clk(SYSCLK),
.rst_n(NSYSRESET),

//outputs
.a(a),
.b(b),
.result(result),
.ok(ok)
);

endmodule

ModelSim仿真波形:

b115143c-d273-11ec-bce3-dac502259ad0.jpg仿真波形

仿真工具除了使用各大FPGA廠商IDE帶的ModelSim等,也可以使用小巧開源的全平臺仿真工具:iverilog+gtkwave,使用方法可以參考:

全平臺輕量開源verilog仿真工具iverilog+GTKWave使用教程

如果使用iverilog進(jìn)行仿真,需要在TB文件中添加以下幾行語句:

/*iverilog*/
initial
begin
$dumpfile("wave.vcd");//生成的vcd文件名稱
$dumpvars(0,fpga_math_tb);//tb模塊名稱
end
/*iverilog*

首先,對Verilog源文件進(jìn)行編譯,檢查是否有語法錯誤,這會在當(dāng)前目錄生成wave目標(biāo)文件:

iverilog-owave*.v

然后通過vvp指令,產(chǎn)生仿真的wave.vcd波形文件:

vvp-nwave-lxt2

使用gtkwave打開波形文件:

gtkwavewave.vcd

當(dāng)然,以上命令也可以寫成批處理文件:

echo"開始編譯"
iverilog-owave*.v
echo"編譯完成"
echo"生成波形文件"
vvp-nwave-lxt2
echo"打開波形文件"
gtkwavewave.vcd

以文本方式存儲為build.bat文件即可,雙擊即可自動完成編譯、生成波形文件、打開波形文件操作。

仿真波形:

b134afc2-d273-11ec-bce3-dac502259ad0.jpg仿真波形

可以看出,和使用ModelSim仿真是一樣的結(jié)果。

總結(jié)

從仿真波形圖中,可以得到計(jì)算的結(jié)果,a+b的值為91,如果要在真實(shí)的FPGA芯片硬件上實(shí)現(xiàn),還需要添加其他功能模塊,把結(jié)果通過串口輸出,或者在數(shù)碼管等顯示屏上進(jìn)行顯示,這里只是簡單介紹使用FPGA計(jì)算方法的實(shí)現(xiàn)。

作為純數(shù)字電路的FPGA,實(shí)現(xiàn)平方根是比較復(fù)雜的,這里采用直接人為輸入平方根結(jié)果的方式,而不是像C語言那樣調(diào)用sqrt函數(shù)自動計(jì)算平方根。

FPGA中不僅有觸發(fā)器和查找表,而且還有乘法器、除法器等硬核IP,所以在涉及到乘除法、平方根運(yùn)算時,不要直接使用*/等運(yùn)算符,而是要使用FPGA自帶的IP核,這樣就不會占用大量的邏輯資源,像Xilinx的基于Cordic算法的Cordic IP核,不僅能實(shí)現(xiàn)平方根計(jì)算,而且還有sin/cos/tan/arctan等三角函數(shù)。

END 審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1630

    文章

    21796

    瀏覽量

    606009
  • C語言
    +關(guān)注

    關(guān)注

    180

    文章

    7614

    瀏覽量

    137714
  • 編程
    +關(guān)注

    關(guān)注

    88

    文章

    3637

    瀏覽量

    93983

原文標(biāo)題:如何用FPGA解一道初中數(shù)學(xué)題?

文章出處:【微信號:gh_c472c2199c88,微信公眾號:嵌入式微處理器】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    采用fpga控制ADS8555進(jìn)行采樣,對某一通道輸入正弦信號時,采樣的結(jié)果卻是方波,為什么?

    采用fpga控制ADS8555進(jìn)行采樣,ADS8555配置為硬件模式,16位并行輸出,對某一通道輸入正弦信號時,采樣的結(jié)果卻是方波,采樣值不是最大就是最小,邏輯分析儀檢測信號,CS和RD等控制
    發(fā)表于 01-15 06:35

    ADS1256 8通依次采樣,數(shù)據(jù)不正確怎么解決?

    SPI總線速度1.40625MB/S,基于STM32的HAL庫下,對八通輸入同一道方波,方波頻率20HZ、40HZ、60HZ時,會出現(xiàn)只有部分通道采樣的數(shù)據(jù)能顯示波形,輸入其他頻率的方波時,會存在采樣到的數(shù)據(jù)顯示的波形占空比與輸入方波的占空比不相同,這種情況是屬于寄存器
    發(fā)表于 11-22 07:09

    Kimi發(fā)布新一代數(shù)學(xué)推理模型k0-math

    、考研以及包含入門競賽的MATH等四個數(shù)學(xué)基準(zhǔn)測試中,k0-math初代模型的表現(xiàn)超越了o1-mini和o1-preview模型。而在兩個難度更高的競賽級別數(shù)學(xué)題庫OMNI-MATH和AIME基準(zhǔn)
    的頭像 發(fā)表于 11-18 11:38 ?554次閱讀

    求解智能韌性,華為方程寫下答案

    續(xù)寫麥特卡夫定律,華為方程解鎖智能韌性
    的頭像 發(fā)表于 09-30 14:37 ?2067次閱讀
    求解智能韌性,華為<b class='flag-5'>用</b>三<b class='flag-5'>道</b>方程寫下答案

    粒子探測器干嘛

    粒子探測器是種用于檢測和分析粒子的設(shè)備,它通過熱技術(shù)來實(shí)現(xiàn)對粒子的檢測。這種探測器在物理學(xué)、化學(xué)、材料科學(xué)、環(huán)境科學(xué)等領(lǐng)域有著廣泛的應(yīng)用。 熱粒子探測器概述 熱
    的頭像 發(fā)表于 09-25 14:20 ?565次閱讀

    好未來與微軟開展合作,攜手構(gòu)建智慧學(xué)習(xí)生態(tài)系統(tǒng)

    想象下,你正在一道復(fù)雜的數(shù)學(xué)題。這難度不小,你解題時遇到了瓶頸。這時,位“老師”出現(xiàn)在你
    的頭像 發(fā)表于 08-20 10:12 ?582次閱讀

    Verilog testbench問題求助

    這是我在HDLbits網(wǎng)站上做到的一道,是testbench,請問這個代碼為什么input都是低電平0?我設(shè)置的時鐘就是周期10ns,占空比50%的時鐘信號???怎么會出現(xiàn)這種情況......
    發(fā)表于 07-21 11:14

    DS90CR486 133MHz 48位通鏈路串器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《DS90CR486 133MHz 48位通鏈路串器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 07-02 09:52 ?0次下載
    DS90CR486 133MHz 48位通<b class='flag-5'>道</b>鏈路<b class='flag-5'>解</b>串器數(shù)據(jù)表

    DS92LV3241/3242 32位通Link II串行器/串器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《DS92LV3241/3242 32位通Link II串行器/串器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 07-01 09:58 ?0次下載
    DS92LV3241/3242 32位通<b class='flag-5'>道</b>Link II串行器/<b class='flag-5'>解</b>串器數(shù)據(jù)表

    DS92LV1260六通10位BLVDS串器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《DS92LV1260六通10位BLVDS串器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 07-01 09:41 ?0次下載
    DS92LV1260六通<b class='flag-5'>道</b>10位BLVDS<b class='flag-5'>解</b>串器數(shù)據(jù)表

    當(dāng)AI與數(shù)學(xué)同時走下神壇

    2024年4月13日,場特別的考試開考。數(shù)萬名分散在全球各地的數(shù)學(xué)高手,在這天早上8點(diǎn)打開了阿里巴巴全球數(shù)學(xué)競賽預(yù)賽的試卷,他們有48小時,來攻克20分的選擇
    的頭像 發(fā)表于 06-23 08:05 ?111次閱讀
    當(dāng)AI與<b class='flag-5'>數(shù)學(xué)</b>同時走下神壇

    18年,6570個日夜,小熊電器何以撩動年輕人?

    小熊電器,十八年一道“年輕方程式”
    的頭像 發(fā)表于 03-25 09:23 ?1923次閱讀
    18年,6570個日夜,小熊電器何以撩動年輕人?

    fpga的是什么編程語言 fpga什么語言開發(fā)

    fpga的是什么編程語言 FPGA(現(xiàn)場可編程邏輯門陣列)主要使用的編程語言是硬件描述語言(HDL)。在眾多的HDL中,Verilog HDL和VHDL是最常用的兩種。 Verilog HDL
    的頭像 發(fā)表于 03-14 17:09 ?3635次閱讀

    谷歌推出AI框架,實(shí)現(xiàn)AI模型的自然語言學(xué)習(xí)

    據(jù)了解,在此款“社交學(xué)習(xí)”框架中,“學(xué)生模型”可向多位已處理指定任務(wù)的“教師模型”請教各類應(yīng)對方案,研究團(tuán)隊(duì)主要通過開展“垃圾短信檢測”、“解決小學(xué)數(shù)學(xué)題”及“根據(jù)文本回答問題”等多項(xiàng)試驗(yàn),以衡量此框架的運(yùn)作效果。
    的頭像 發(fā)表于 03-11 11:36 ?1006次閱讀

    AI大模型落地學(xué)習(xí)機(jī),大模型應(yīng)用成學(xué)習(xí)機(jī)創(chuàng)新方向

    技術(shù)的學(xué)習(xí)機(jī),也被稱為AI學(xué)習(xí)機(jī)。 ? AI 大模型落地學(xué)習(xí)機(jī) ? 去年底,學(xué)而思就發(fā)布了學(xué)習(xí)機(jī)新品xPad2 Pro系列,該學(xué)習(xí)機(jī)搭載學(xué)而思大模型MathGPT(中文名:九章大模型)。據(jù)稱MathGPT可以實(shí)現(xiàn)對小學(xué)初中的任何一道數(shù)學(xué)
    的頭像 發(fā)表于 02-23 01:19 ?3965次閱讀