0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA虛擬時(shí)鐘的使用方法

C29F_xilinx_inc ? 來源:賽靈思 ? 作者:賽靈思 ? 2022-02-16 16:21 ? 次閱讀

在我之前寫的FPGA時(shí)序約束教程中,有一篇中講到了虛擬時(shí)鐘

FPGA時(shí)序約束理論篇之時(shí)鐘周期約束

但文中對(duì)虛擬時(shí)鐘的應(yīng)用介紹的還不夠詳細(xì),因此這里我們再對(duì)虛擬時(shí)鐘做一個(gè)更加細(xì)致的介紹。

首先,虛擬時(shí)鐘用于什么地方?

虛擬時(shí)鐘通常用于設(shè)定輸入和輸出的延時(shí),即set_input_delay和set_output_delay??赡苡型瑢W(xué)忘記這兩個(gè)約束的用法了,這里我們再展示一下:

set_input_delay 0.5 -clock clkA [get_ports A]
set_output_delay 1 -clock clkB [get_ports B]

其中clkA和clkB就是我們使用create_clock創(chuàng)建的主時(shí)鐘或者虛擬時(shí)鐘。

主時(shí)鐘在創(chuàng)建的時(shí)候需要指定時(shí)鐘輸入端口,虛擬時(shí)鐘不需要指定端口,所以稱之為虛擬時(shí)鐘。那什么場景下要用到虛擬時(shí)鐘呢?

1. 外部IO的參考時(shí)鐘并不是設(shè)計(jì)中的時(shí)鐘

下圖中,外部IO的參考時(shí)鐘比設(shè)計(jì)中主時(shí)鐘的路徑上多了一個(gè)BUFFER,因此我們需要使用虛擬時(shí)鐘來進(jìn)行約束。

FPGA虛擬時(shí)鐘的使用方法
create_clock -name sysclk -period 10 [get_ports clkin]
create_clock -name virtclk -period 10
set_clock_latency -source 1 [get_clock virtclk]
set_input_delay -clock virtclk -max 4 [get_ports dina]
set_input_delay -clock virtclk -min 2 [get_ports dina]

2. FPGA I/O路徑參考時(shí)鐘來源于內(nèi)部衍生時(shí)鐘,但與主時(shí)鐘的頻率關(guān)系并不是整數(shù)倍

如果I/O路徑參考時(shí)鐘源于內(nèi)部的衍生時(shí)鐘,那set_input_delay和set_output_delay約束中-clock參數(shù)后的時(shí)鐘不能是衍生時(shí)鐘,比如下圖的例子中,輸入10MHz時(shí)鐘經(jīng)過了MMCM后去采輸入的數(shù)據(jù)。

FPGA虛擬時(shí)鐘的使用方法

a) 如果MMCM沒有負(fù)的相移而且輸出的頻率也是10MHz,那么直接使用主時(shí)鐘來約束input delay。

create_clock -period 100.000 -name clk [get_ports clk]
set_input_delay -clock clk -max 2.000 [get_ports datain]
set_input_delay -clock clk -min 1.000 [get_ports datain]

b) 如果MMCM輸出頻率是60MHz,那么這個(gè)衍生時(shí)鐘跟主時(shí)鐘并不是整數(shù)倍關(guān)系,這時(shí)就需要用到虛擬時(shí)鐘了

create_clock -period 100.000 -name clk [get_ports clk] 
create_clock -name clk_port_vclk -period 16.67
set_input_delay -clock clk_port_vclk -max 2 [get_ports datain]
set_input_delay -clock clk_port_vclk -min 1 [get_ports datain]

3. 在不修改時(shí)鐘特性的情況下針對(duì)I/O指定不同的jitter和latency

這個(gè)需求我們可以在Constraints Wizards中指定,簡單又方便
FPGA虛擬時(shí)鐘的使用方法

在設(shè)置完成后,可以看到Tcl Command Preview中提示的約束指令。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1629

    文章

    21759

    瀏覽量

    604271
  • 端口
    +關(guān)注

    關(guān)注

    4

    文章

    978

    瀏覽量

    32103
  • 虛擬時(shí)鐘
    +關(guān)注

    關(guān)注

    0

    文章

    5

    瀏覽量

    6604
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    請問ADC32xx的時(shí)鐘FPGA直接輸出嗎?

    大家好,我的ADC32XX 采樣率為125M,將轉(zhuǎn)換后的數(shù)據(jù)發(fā)送給FPGA,請問ADC32xx的時(shí)鐘FPGA直接輸出嗎?FPGA IO口是3.3V的,如果是這樣的話是不是得電平轉(zhuǎn)換
    發(fā)表于 01-02 08:30

    AB伺服軟件使用方法

    AB伺服軟件使用方法
    發(fā)表于 12-24 14:45 ?0次下載

    探針頭型使用方法有哪些

    探針頭型的使用方法多種多樣,具體取決于探針頭型的類型、被測對(duì)象的特性以及測試需求。以下是一些常見探針頭型的使用方法概述: 1. 凹頭探針 用途 :主要用于測試長導(dǎo)腳、端子及繞線柱等較長或較粗的被測點(diǎn)
    的頭像 發(fā)表于 09-07 10:57 ?724次閱讀

    DC/DC模擬的基本使用方法和特性確認(rèn)方法

    本篇介紹了DC/DC模擬的基本使用方法及確認(rèn)基本特性的方法
    的頭像 發(fā)表于 08-20 17:08 ?725次閱讀
    DC/DC模擬的基本<b class='flag-5'>使用方法</b>和特性確認(rèn)<b class='flag-5'>方法</b>

    FPGA如何消除時(shí)鐘抖動(dòng)

    FPGA(現(xiàn)場可編程門陣列)設(shè)計(jì)中,消除時(shí)鐘抖動(dòng)是一個(gè)關(guān)鍵任務(wù),因?yàn)?b class='flag-5'>時(shí)鐘抖動(dòng)會(huì)直接影響系統(tǒng)的時(shí)序性能、穩(wěn)定性和可靠性。以下將詳細(xì)闡述FPGA中消除
    的頭像 發(fā)表于 08-19 17:58 ?1468次閱讀

    FPGA異步信號(hào)處理方法

    FPGA(現(xiàn)場可編程門陣列)在處理異步信號(hào)時(shí),需要特別關(guān)注信號(hào)的同步化、穩(wěn)定性以及潛在的亞穩(wěn)態(tài)問題。由于異步信號(hào)可能來自不同的時(shí)鐘域或外部設(shè)備,其到達(dá)時(shí)間和頻率可能不受FPGA內(nèi)部時(shí)鐘
    的頭像 發(fā)表于 07-17 11:10 ?1196次閱讀

    淺談錫膏的儲(chǔ)存及使用方法

    錫膏(焊錫膏)是電子組裝過程中常用的材料,它的儲(chǔ)存和使用方法對(duì)保證焊接質(zhì)量和性能至關(guān)重要。以下是詳細(xì)的儲(chǔ)存及使用方法
    的頭像 發(fā)表于 06-27 10:02 ?940次閱讀

    可編程電源使用方法

    可編程電源使用方法 可編程電源使用方法 摘要:本文詳細(xì)介紹了可編程電源的使用方法,包括其基本概念、主要功能、選擇原則、操作步驟、注意事項(xiàng)以及實(shí)際應(yīng)用案例,旨在幫助讀者全面了解可編程電源
    的頭像 發(fā)表于 06-10 15:29 ?1059次閱讀

    手柄控制代碼及使用方法

    手柄控制代碼及使用方法
    的頭像 發(fā)表于 05-15 10:19 ?1892次閱讀

    FPGA時(shí)鐘電路結(jié)構(gòu)原理

    FPGA 中包含一些全局時(shí)鐘資源。以AMD公司近年的主流FPGA為例,這些時(shí)鐘資源由CMT(時(shí)鐘管理器)產(chǎn)生,包括DCM、PLL和MMCM等
    發(fā)表于 04-25 12:58 ?1949次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>時(shí)鐘</b>電路結(jié)構(gòu)原理

    手機(jī)信號(hào)屏蔽器:功能、原理及使用方法詳解

    深圳特信電子|手機(jī)信號(hào)屏蔽器:功能、原理及使用方法詳解
    的頭像 發(fā)表于 04-01 09:09 ?3196次閱讀

    555集成芯片的使用方法

    555集成芯片的使用方法主要依賴于其特定的引腳功能和電路設(shè)計(jì)。
    的頭像 發(fā)表于 03-25 14:39 ?1546次閱讀

    FPGA輸入的時(shí)鐘信號(hào)必須是方波么?正弦波會(huì)有影響么?

    FPGA輸入的時(shí)鐘信號(hào)必須是方波么?正弦波會(huì)有影響么? FPGA是一種可編程邏輯器件,通常用于實(shí)現(xiàn)數(shù)字電路。輸入時(shí)鐘信號(hào)是FPGA中非常重要
    的頭像 發(fā)表于 01-31 11:31 ?3623次閱讀

    FPGA時(shí)鐘內(nèi)部設(shè)計(jì)方案

    使用控制信號(hào),禁止或允許時(shí)鐘輸入到寄存器和其他同步元件上的一種方法。它能有效降低功耗,因此被廣泛應(yīng)用于ASIC設(shè)計(jì)中。然而,在FPGA設(shè)計(jì)中應(yīng)盡量避免使用門控時(shí)鐘。 ? ? 不建議
    發(fā)表于 01-22 09:30 ?637次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時(shí)鐘</b>內(nèi)部設(shè)計(jì)方案

    FPGA時(shí)鐘的用法

    生成時(shí)鐘包括自動(dòng)生成時(shí)鐘(又稱為自動(dòng)衍生時(shí)鐘)和用戶生成時(shí)鐘。自動(dòng)生成時(shí)鐘通常由PLL或MMCM生成,也可以由具有分頻功能的
    的頭像 發(fā)表于 01-11 09:50 ?1950次閱讀
    <b class='flag-5'>FPGA</b>中<b class='flag-5'>時(shí)鐘</b>的用法